CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 正弦波

搜索资源列表

  1. sineWave

    0下载:
  2. 在FPGA上产生正弦波输出, VHDL语言-In the FPGA to generate sine wave output, VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1028
    • 提供者:Jim Lai
  1. 83390078DDS

    0下载:
  2. DDS的工作原理是以数控振荡器的方式产生频率、相位可控制的正弦波。电路一般包括基准时钟、频率累加器、相位累加器、幅度/相位转换电路、D/A转换器和低通滤波器(LPF)。频率累加器对输入信号进行累加运算,产生频率控制数据X(frequency data或相位步进量)。相位累加器由N位全加器和N位累加寄存器级联而成,对代表频率的2进制码进行累加运算,是典型的反馈电路,产生累加结果Y。幅度/相位转换电路实质上是一个波形寄存器,以供查表使用。读出的数据送入D/A转换器和低通滤波器。-DDS works
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-17
    • 文件大小:43774
    • 提供者:394177191
  1. SIGNAL_GEN

    0下载:
  2. 利用EDA的VHDL硬件描述语言设计的函数信号发生器,可以产生递增、递减斜波,三角波,阶梯波,正弦波,方波-The use of EDA, VHDL hardware descr iption language design function of the signal generator can generate increased progressively decreasing ramp, triangle wave, step-wave, sine wave, square wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:518701
    • 提供者:心心
  1. dds_final

    1下载:
  2. 使用Verilog HDL语言实现的一个DDS,可以发生0-10Mhz正弦波、方波、三角波,频率步进可调,FM调制、AM调制,调制度可调。DA芯片为8位并行,160MHz-Using the Verilog HDL language implementation of a DDS, can occur 0-10Mhz sine, square, triangle wave, frequency step tunable, FM modulation, AM modulation, adjusta
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-09-21
    • 文件大小:1638657
    • 提供者:nostalgia
  1. DDS1-2

    1下载:
  2. 利用FPGA设计一个直接数字频率合成器(DDS),要求能够通过键盘设定输出正弦波、三角波和方波,输出波形频率由键盘输入设定,液晶显示屏显示输出波形类型和频率,输出频率范围10Hz-20kHz,步长0.5Hz。-FPGA design using a direct digital synthesizer (DDS), requires the ability to set the keyboard output sine wave, triangle wave and square wave ou
  3. 所属分类:source in ebook

    • 发布日期:2017-04-09
    • 文件大小:1855933
    • 提供者:张箭箭
  1. vhdl_source

    0下载:
  2. 函数发生器VHDL语言实现递增,递减锯齿波,方波,正弦波,阶梯波的实现-VHDL, function ,delta, sin, ladder ,isaw dsaw
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:3747
    • 提供者:小花
  1. dds

    0下载:
  2. 块DDS芯片中主要包括频率控制寄存器、高速相位累加器和正弦计算器三个部分(如Q2220)。频率控制寄存器可以串行或并行的方式装载并寄存用户输入的频率控制码;而相位累加器根据dds频率控制码在每个时钟周期内进行相位累加,得到一个相位值;正弦计算器则对该相位值计算数字化正弦波幅度(芯片一般通过查表得到)。DDS芯片输出的一般是数字化的正弦波,因此还需经过高速D/A转换器和低通滤波器才能得到一个可用的模拟频率信号。 -In the programming step, the electronic
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:4849
    • 提供者:李彦伟
  1. vhdl

    0下载:
  2. 用vhdl实现的抢答器程序。正弦波,锯齿波,三角波发生器程序。基于pwm技术的数码流水灯程序。计数器程序。-Responder with vhdl implementation process. Sine wave, sawtooth wave, triangle wave generator program. Pwm technology based on digital light process flow. Counter program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:5956
    • 提供者:杨雨
  1. sinbo

    0下载:
  2. 基于quartus II的正弦波发生器,可调频率相位,用其时序仿真即可显示,分模块设计的。有sin。mif文件.-Based quartus II of the sine wave generator, adjustable frequency and phase, with the timing simulation can show that sub-module design. A sin. mif file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:995764
    • 提供者:liyu
  1. sine-generator

    0下载:
  2. ROM型正弦信号发生器,从rom中读取正弦波的点,循环输出,经AD生成波形,环境为quartus-sine generator in quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:677439
    • 提供者:张文
  1. fpga

    0下载:
  2. 基于FPGA的信号调制,可产生正弦波,并进行ASK调制和AM调制-FPGA-based signal modulation, can produce sine wave, and the ASK modulation and AM modulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:7066
    • 提供者:张沐松
  1. VHDL-Waveform-source

    0下载:
  2. I/V转换波形输出,可生成三角波、锯齿波、方波、和正弦波等常用波形。-I/V converter output waveforms can be generated triangle wave, sawtooth wave, square wave, and other commonly used sine wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1627
    • 提供者:obu
  1. dds

    0下载:
  2. dds波形发生器 三角波 正弦波-dds gener
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3832976
    • 提供者:zhou
  1. NEW-AD9954

    0下载:
  2. 一个开环的AD9954 的正弦波发生 verilog 程序。-An open loop of the AD9954 in the sine wave occurs verilog program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:353039
    • 提供者:王龙
  1. SG_FPGA

    0下载:
  2. 2006年电子设计竞赛二等奖,多功能函数、信号发生器核心器件FPGA内部的原理图,主要模块用VHDL代码描述,包括PLL、相位累加器、波形算法和正弦波查找表,可实现0.005Hz~20MHz的多波形信号产生,频率步进值0.005,输出接100MSPS速率的DAC--AD9762-Electronic Design Competition 2006, second prize, multi-function signal generator within the core of the devic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1099880
    • 提供者:zlz
  1. dds

    0下载:
  2. 基于vhdl的dds信号发生器,可产生方波,三角波,正弦波,幅度,频率,相位可调-The signal generator based on VHDL DDS, can produce square wave, triangle wave, sine wave, amplitude, frequency, phase can be adjusted
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1627883
    • 提供者:
  1. Verilog-hdlFPGA

    0下载:
  2. 关于FPGA的提高篇,Verilog HDL语言写的, 包含LCD控制VHDL程序与仿真,AD/DA,MASK,FSK,PSK,正弦波发生器,等等经典程序-Articles on improving the FPGA, Verilog HDL language, and includes LCD control procedures and VHDL simulation, AD/DA, MASK, FSK, PSK, sine wave generator, and so the classi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1181353
    • 提供者:chenfeihu
  1. signal-generator-base-on-vhdl

    0下载:
  2. 实现正弦波输出,可以调节输出频率,具有led显示功能-Achieve sine wave output, output frequency can be adjusted, with led display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:401385
    • 提供者:杨某
  1. signal-generator

    0下载:
  2. 基于VHDL的函数信号发生器【正弦波、三角波、锯齿波、方波】-signal generator【VHDL】
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:720787
    • 提供者:gaoyuanli
  1. VHDL-node

    0下载:
  2. VHDL的一些实验代码,其中有4位可逆计数器,4位可逆二进制代码-格雷码转换器设计、序列检测器的设计、基于ROM的正弦波发生器的设计、数字密码锁的设计与实现-Some experiments of VHDL code, which has four reversible counters, four reversible binary code- Gray code converter design, sequence detection Design, ROM-based sine wav
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:48941
    • 提供者:张联合
« 1 2 3 45 6 7 8 9 10 »
搜珍网 www.dssz.com